Selected Publications

(Complete list here)

  1. BRANDALERO, M. ; CARRO, Luigi ; BECK FILHO, ANTONIO C. S. ; SHAFIQUE, M.
    Approximate On-the-Fly Coarse-Grained Reconfigurable Acceleration for General-Purpose Applications. In: Design Automation Conference, 2018. Proceedings of the Design Automation Conference, 2018.

  2. OLIVEIRA, G. ; BRANDALERO, M. ; GONCALVES, L. ; BECK FILHO, ANTONIO C. S. ; CARRO, Luigi
    Employing Classification-based Algorithms for General-Purpose Approximate Computing. In: Design Automation Conference, 2018. Proceedings of the Design Automation Conference, 2018.

  3. SARTOR, ANDERSON LUIZ ; LORENZON, A. F. ; KUNDU, S. ; KOREN, I. ; BECK FILHO, ANTONIO C. S.
    Adaptive and Polymorphic VLIW Processor to Optimize Fault Tolerance, Energy Consumption, and Performance. In: ACM International Conference on Computing Frontiers, 2018. Proceedings of the ACM International Conference on Computing Frontiers, 2018.
    Best Paper Award

  4. TONETTO, R. B. ; NAZAR, G. L. ; BECK FILHO, ANTONIO C. S.
    Precise evaluation of the fault sensitivity of OoO superscalar processors. In: Design, Automation and Test in Europe Conference and Exhibition, 2018, Dresden. Proceedings of the Design, Automation & Test in Europe Conference, 2018.

  5. SANTOS, P. C. ; OLIVEIRA, G. ; LIMA, J. P. ; ALVES, M. A. Z. ; CARRO, Luigi ; BECK FILHO, ANTONIO C. S.
    Processing in 3D memories to speed up operations on complex data structures. In: Design, Automation and Test in Europe Conference and Exhibition, 2018, Dresden. Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2018.

  6. SARTOR, ANDERSON LUIZ ; BECKER, PEDRO H. E. ; HOOZEMANS, JOOST ; WONG, STEPHAN ; BECK FILHO, ANTONIO C. S.
    Dynamic Trade-off among Fault Tolerance, Energy Consumption, and Performance on a Multiple-issue VLIW Processor. IEEE Transactions on Multi-Scale Computing Systems, 2017.

  7. SARTOR, ANDERSON L. ; LORENZON, ARTHUR F. ; CARRO, Luigi ; KASTENSMIDT, FERNANDA ; WONG, STEPHAN ; Beck, Antonio C. S.
    Exploiting Idle Hardware to Provide Low Overhead Fault Tolerance for VLIW Processors. ACM Journal on Emerging Technologies in Computing Systems, v. 13, p. 1-21, 2017.

  8. LORENZON, A. F. ; SOUZA, J. ; BECK, A. C. S.
    LAANT: A Library to Automatically Optimize EDP for OpenMP Applications. In: Design Automation and Test in Europe, 2017, Lausanne

  9. BRANDALERO, M. ; BECK, A. C. S.
    A Mechanism for Energy-efficient Reuse of Decoding and Scheduling of x86 Instruction Streams. In: Design, Automation & Test in Europe, 2017, Lausanne.

  10. LORENZON, ARTHUR FRANCISCO ; CERA, MÁRCIA CRISTINA ; Beck, Antonio Carlos Schneider
    Investigating different general-purpose and embedded multicores to achieve optimal trade-offs between performance and energy. Journal of Parallel and Distributed Computing (Print), v. 1, p. 1, 2016.

  11. SOUZA, J. ; CARRO, Luigi ; Rutzig, M. B. ; BECK, A. C. S.
    A Reconfigurable Heterogeneous Multicore with a Homogeneous ISA. In: Design, Automation and Test in Europe, 2016, Dresden.
    Best Paper Candidate - Digital Design Track

  12. GUO, Q. ; SARTOR, A. ; BRANDON, A. ; BECK, A. C. S. ; ZHOU, X. ; WONG, S.
    Run-time Phase Prediction for a Reconfigurable VLIW Processor. In: Design, Automation and Test in Europe, 2016, Dresden.

  13. SILVEIRA, L. ; BRANDALERO, M. ; SOUZA, J. ; BECK, A. C. S.
    The Potential of Accelerating Image-Processing Applications by using Approximate Function Reuse. In: Brazilian Symposium on Computing Systems Engineering, 2016, João Pessoa.
    Best Paper Award - Embedded Systems Track

  14. LO, THIAGO BERTICELLI ; KASTENSMIDT, FERNANDA LIMA ; Beck, Antonio Carlos Schneider
    Using Configurable Bit-Width Voters to Mask Multiple Errors in Integrated Circuits. In: 2015 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2015, Montpellier. 2015 IEEE Computer Society Annual Symposium on VLSI. p. 533.

  15. Rutzig, M. B. ; BECK, A. C. S. ; CARRO, Luigi
    A Transparent and Energy Aware Reconfigurable Multiprocessor Platform for Simultaneous ILP and TLP Exploitation. In: Design, Automation and Test in Europe Conference and Exhibition, 2013, Grenoble. Proceedings of Design, Automation and Test in Europe. Washington: IEEE Computer Society, 2013. p. 1559-1564.

  16. FAJARDO JUNIOR, J., Rutzig, M. B., BECK, A. C. S.
    A Dynamically Reconfigurable Architecture with a Two-Level Binary Translation Mechanism In: HiPEAC Workshop on Reconfigurable Computing, 2011, Heraklion. Proceedings of the 5th HiPEAC Workshop on Reconfigurable Computing. , 2011. p.11 - 20
    Best Paper Award

  17. FERREIRA, R. S., LAURE, M., Rutzig, M. B., BECK, A. C. S., CARRO, Luigi
    Reducing Interconnection Cost In Coarse-Grained Dynamic Computing Through Multistage Network In: International Conference on Field Programmable Logic and Applications (FPL), 2008, Heidelberg.
    Proceedings of International Conference on Field Programmable Logic and Applications. IEEE Computer Society, 2008. p.47 - 52

  18. BECK, A. C. S., Rutzig, M. B., GAYDADJIEV, G., CARRO, Luigi
    Transparent Reconfigurable Acceleration for Heterogeneous Embedded Applications In: Design, Automation and Test in Europe (DATE), 2008, Munique.
    Proceedings of Design, Automation and Test in Europe. Washington: IEEE Computer Society, 2008. p.1208 - 1213

  19. BECK, A. C. S., CARRO, Luigi
    Transparent Acceleration of Data Dependent Instructions for General Purpose Processors In: IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip (VLSI-SOC), 2007, Atlanta.
    Proceedings of IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip. New York: IEEE, 2007. p.66 - 71
    Best Paper Award

  20. BECK, A. C. S., CARRO, Luigi
    Dynamic Reconfiguration with Binary Translation: Breaking the ILP barrier with Software Compatibility In: Design Automation Conference (DAC), 2005, Anaheim.
    Proceedings of the 42nd annual Design Automation Conference. New York: ACM, 2005. p.732 - 737

  21. BECK, A. C. S., CARRO, Luigi
    A VLIW Low Power Java Processor for Embedded Applications In: Symposium On Integrated Circuits And System Design (SBCCI), 2004, Porto de Galinhas.
    Proceedings of the 17th symposium on Integrated circuits and system design. New York: ACM, 2004. p.157 - 162

  22. BECK, A. C. S., CARRO, Luigi
    CACO-PS: A General Purpose Cycle-Accurate Configurable Power Simulator In: Symposium On Integrated Circuits And System Design (SBCCI), 2003, São Paulo.
    Proceedings of the 16th symposium on Integrated circuits and system design. New York: ACM, 2003. p.349 - 354

  23. BECK, A. C. S., CARRO, Luigi
    Low Power Java Processor for Embedded Applications In: IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip (VLSI-SOC), 2003, Darmstadt.
    Proceedings of the 12th IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip. , 2003. p.239 - 244

Complete List of Publications


Books


  1. BECK, A. C. S., LISBOA, C. A. L., CARRO, Luigi
    Adaptable Embedded Systems, 2012, v.1. p.317.

  2. BECK, A. C. S., CARRO, Luigi
    Dynamic Reconfigurable Architectures and Transparent Optimization Techniques. Berlin/Heidelberg : Springer, 2010, v.1. p.225.


Journals


  1. SARTOR, ANDERSON LUIZ ; BECKER, PEDRO H. E. ; HOOZEMANS, JOOST ; WONG, STEPHAN ; BECK FILHO, ANTONIO C. S.
    Dynamic Trade-off among Fault Tolerance, Energy Consumption, and Performance on a Multiple-issue VLIW Processor. IEEE Transactions on Multi-Scale Computing Systems, v. 1, p. 1-1, 2017.

  2. SARTOR, ANDERSON L. ; LORENZON, ARTHUR F. ; CARRO, Luigi ; KASTENSMIDT, FERNANDA ; WONG, STEPHAN ; Beck, Antonio C. S.
    Exploiting Idle Hardware to Provide Low Overhead Fault Tolerance for VLIW Processors. ACM Journal on Emerging Technologies in Computing Systems, v. 13, p. 1-21, 2017.

  3. BRANDALERO, MARCELO ; ALMEIDA DA SILVEIRA, LEONARDO ; DELLAGOSTIN SOUZA, JECKSON ; Beck, Antonio Carlos Schneider
    Accelerating error-tolerant applications with approximate function reuse. SCIENCE OF COMPUTER PROGRAMMING, v. 1, p. 1-8, 2017.

  4. SARTOR, ANDERSON LUIZ ; Beck, Antonio Carlos Schneider
    Multi-architecture profiler for Android. INTERNATIONAL JOURNAL OF HIGH PERFORMANCE SYSTEMS ARCHITECTURE (PRINT), v. 7, p. 41-55, 2017.

  5. BRANDALERO, MARCELO ; BECK, ANTONIO CARLOS S.
    Potential analysis of a superscalar core employing a reconfigurable array for improving instruction-level parallelism. Design Automation for Embedded Systems, v. 1, p. 1-15, 2016.

  6. LORENZON, ARTHUR FRANCISCO ; CERA, MÁRCIA CRISTINA ; Beck, Antonio Carlos Schneider
    Investigating different general-purpose and embedded multicores to achieve optimal trade-offs between performance and energy. Journal of Parallel and Distributed Computing (Print), v. 1, p. 1, 2016.

  7. Rutzig, M. B. ; BECK, A. C. S. ; CARRO, Luigi
    Adaptive and dynamic reconfigurable multiprocessor system to improve software productivity. IET Computers & Digital Techniques (Print), v. 9, p. 63-72, 2015.

  8. CAPELLA, FERNANDA M. ; BRANDALERO, MARCELO ; CARRO, Luigi ; Beck, Antonio C. S.
    A multiple-ISA reconfigurable architecture. Design Automation for Embedded Systems, v. 1, p. 1-12, 2015.

  9. Beck, Antonio Carlos Schneider ; RUTZIG, MATEUS BECK ; CARRO, Luigi
    A transparent and adaptive reconfigurable system. Microprocessors and Microsystems, v. 38, p. 509-524, 2014.

  10. LORENZON, ARTHUR FRANCISCO ; CERA, MÁRCIA CRISTINA ; SCHNEIDER BECK, ANTONIO CARLOS
    Performance and Energy Evaluation of Different Multi-Threading Interfaces in Embedded and General Purpose Systems. Journal of Signal Processing Systems for Signal, Image, and Video Technology, 2014.

  11. FAJARDO JUNIOR, J., Rutzig, M. B., CARRO, Luigi, BECK, A. C. S.
    Towards a Multiple-ISA Embedded System. Journal of Systems Architecture, 2013.

  12. Beck, Antonio C. S., Rutzig, M. B.
    Mixing static and dynamic strategies for high performance and low area reconfigurable systems. International Journal of High Performance Systems Architecture (Print). , v.4, p.13 - 24, 2012.

  13. Rutzig, Mateus B., BECK, A. C. S., Madruga, Felipe, Alves, Marco A., Freitas, Henrique C., Maillard, Nicolas, Navaux, Philippe O. A., CARRO, Luigi
    Boosting Parallel Applications Performance on Applying DIM Technique in a Multiprocessing Environment. International Journal of Reconfigurable Computing (Print). , v.2011, p.1 - 13, 2011.

  14. BECK, A. C. S., Rutzig, M. B., CARRO, Luigi
    Measuring the Efficiency of Cache Memory on Java Processors for Embedded Systems. JICS. Journal of Integrated Circuits and Systems. , v.2, p.7 - 13, 2007.

  15. BECK, A. C. S., CARRO, Luigi
    A VLIW Low Power Java Processor for Embedded Applications. JICS. Journal of Integrated Circuits and Systems. , v.1, p.37 - 42, 2006.

  16. HENTSCHKE, Renato, BECK, A. C. S., MATTOS, Julio C B, CARRO, Luigi, LUBASZEWSKI, Marcelo, REIS, Ricardo
    Using Genetic Algorithms to Accelerate Automatic Software Generation for Microprocessor Functional Testing. JICS. Journal of Integrated Circuits and Systems. , v.1, p.5 - 10, 2006.

  17. GOMES, V. F., BECK, A. C. S., CARRO, Luigi
    Trading Time and Space on Low Power Embedded Architectures with Dynamic Instruction Merging. Journal of Low Power Electronics. , v.1, p.249 - 258, 2005.


Regular Papers in Conferences


  1. MOURA, R. F. ; JORDAN, M. ; BECK FILHO, ANTONIO C. S. ; Rutzig, M. B.
    Exploiting Partial Reconfiguration on a Dynamic Coarse Grained Reconfigurable Architecture. In: International Symposium on Applied Reconfigurable Computing, 2018, Santorini. Proceedings of the International Symposium on Applied Reconfigurable Computing, 2018. p. 1.

  2. SOUZA, J. ; SARTOR, ANDERSON LUIZ ; CARRO, Luigi ; Rutzig, M. B. ; WONG, S. ; BECK FILHO, ANTONIO C. S.
    DIM-VEX: Exploiting Design Time Configurability and Runtime Reconfigurability. In: International Symposium on Applied Reconfigurable Computing, 2018, Santorini. Proceedings of the International Symposium on Applied Reconfigurable Computing, 2018. p. 1.

  3. BECKER, PEDRO H. E. ; SARTOR, ANDERSON LUIZ ; BRANDALERO, M. ; JOST, T. T. ; WONG, S. ; CARRO, Luigi ; BECK FILHO, ANTONIO C. S.
    A Low-Cost BRAM-based Function Reuse for Configurable Soft-Core Processors in FPGAs. In: International Symposium on Applied Reconfigurable Computing, 2018, Santorini. Proceedings of the International Symposium on Applied Reconfigurable Computing, 2018. p. 1.

  4. TONETTO, R. B. ; NAZAR, G. L. ; BECK FILHO, ANTONIO C. S.
    Precise evaluation of the fault sensitivity of OoO superscalar processors. In: Design, Automation and Test in Europe Conference and Exhibition, 2018, Dresden. Proceedings of the Design, Automation & Test in Europe Conference, 2018. p. 1.

  5. SANTOS, P. C. ; OLIVEIRA, G. ; LIMA, J. P. ; ALVES, M. A. Z. ; CARRO, Luigi ; BECK FILHO, ANTONIO C. S.
    Processing in 3D memories to speed up operations on complex data structures. In: Design, Automation and Test in Europe Conference and Exhibition, 2018, Dresden. Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2018. p. 1.

  6. SARTOR, ANDERSON LUIZ ; LORENZON, A. F. ; KUNDU, S. ; KOREN, I. ; BECK FILHO, ANTONIO C. S.
    Adaptive and Polymorphic VLIW Processor to Optimize Fault Tolerance, Energy Consumption, and Performance. In: ACM International Conference on Computing Frontiers, 2018. Proceedings of the ACM International Conference on Computing Frontiers, 2018. p. 1.

  7. LIMA, J. P. ; SANTOS, P. C. ; ALVES, M. A. Z. ; BECK FILHO, ANTONIO C. S. ; CARRO, Luigi
    Design space exploration for PIM architectures in 3D-stacked memories. In: ACM International Conference on Computing Frontiers, 2018, Ischia. Proceedings of the ACM International Conference on Computing Frontiers, 2018. p. 1.

  8. BRANDALERO, M. ; CARRO, Luigi ; BECK FILHO, ANTONIO C. S. ; SHAFIQUE, M.
    Approximate On-the-Fly Coarse-Grained Reconfigurable Acceleration for General-Purpose Applications. In: Design Automation Conference, 2018. Proceedings of the Design Automation Conference, 2018. p. 1.

  9. OLIVEIRA, G. ; BRANDALERO, M. ; GONCALVES, L. ; BECK FILHO, ANTONIO C. S. ; CARRO, Luigi
    Employing Classification-based Algorithms for General-Purpose Approximate Computing. In: Design Automation Conference, 2018. Proceedings of the Design Automation Conference, 2018. p. 1.

  10. ERICHSEN, A. G. ; SARTOR, ANDERSON LUIZ ; SOUZA, J. ; PEREIRA, M. M. ; WONG, S. ; BECK FILHO, ANTONIO C. S.
    ISA-DTMR: Selective Protection in Configurable Heterogeneous Multicores. In: International Symposium on Applied Reconfigurable Computing, 2018, Santorini. Proceedings of the International Symposium on Applied Reconfigurable Computing, 2018. p. 1.

  11. SCHWARZROCK, JANAINA ; LORENZON, ARTHUR FRANCISCO ; NAVAUX, PHILIPPE O.A. ; Beck, Antonio Carlos Schneider ; FREITAS, EDISON PIGNATON DE
    Potential Gains in EDP by Dynamically Adapting the Number of Threads for OpenMP Applications in Embedded Systems. In: 2017 VII Brazilian Symposium on Computing Systems Engineering (SBESC), 2017, Curitiba. 2017 VII Brazilian Symposium on Computing Systems Engineering (SBESC), 2017. p. 79.

  12. SARTOR, ANDERSON LUIZ ; BECKER, PEDRO HENRIQUE EXENBERGER ; Beck, Antonio Carlos Schneider
    Simbah-FI: Simulation-Based Hybrid Fault Injector. In: 2017 VII Brazilian Symposium on Computing Systems Engineering (SBESC), 2017, Curitiba. 2017 VII Brazilian Symposium on Computing Systems Engineering (SBESC), 2017. p. 94.

  13. MARQUES, W. ; SOUZA, P. ; LORENZON, A. F. ; BECK, A. C. S. ; RUTZIG, MATEUS ; ROSSI, F.
    Improving EDP in Multi-Core Embedded Systems Through Multidimensional Frequency Scaling. In: IEEE International Symposium on Circuits and Systems, 2017

  14. SFREDDO, J. ; MOURA, R. F. ; JORDAN, M. ; SOUZA, J. ; BECK, A. C. S. ; RUTZIG, MATEUS.
    A Framework to Automatically Generate Heterogeneous Organization Reconfigurable Multiprocessing Systems. In: IEEE International Symposium on Circuits and Systems, 2017

  15. LORENZON, A. F. ; SOUZA, J. ; BECK, A. C. S.
    LAANT: A Library to Automatically Optimize EDP for OpenMP Applications. In: Design Automation and Test in Europe, 2017, Lausanne

  16. BRANDALERO, M. ; BECK, A. C. S.
    A Mechanism for Energy-efficient Reuse of Decoding and Scheduling of x86 Instruction Streams. In: Design, Automation & Test in Europe, 2017, Lausanne.

  17. SOUZA, J. ; CARRO, Luigi ; Rutzig, M. B. ; BECK, A. C. S.
    A Reconfigurable Heterogeneous Multicore with a Homogeneous ISA. In: Design, Automation and Test in Europe, 2016, Dresden.

  18. GUO, Q. ; SARTOR, A. ; BRANDON, A. ; BECK, A. C. S. ; ZHOU, X. ; WONG, S.
    Run-time Phase Prediction for a Reconfigurable VLIW Processor. In: Design, Automation and Test in Europe, 2016, Dresden.

  19. SILVEIRA, L. ; BRANDALERO, M. ; SOUZA, J. ; BECK, A. C. S.
    The Potential of Accelerating Image-Processing Applications by using Approximate Function Reuse. In: Brazilian Symposium on Computing Systems Engineering, 2016, João Pessoa.

  20. MAGALHAES, G. ; SARTOR, A. ; LORENZON, A. F. ; NAVAUX, P. O. A. ; BECK, A. C. S.
    How Programming Languages and Paradigms Affect Performance and Energy in Multithreaded Applications. In: Brazilian Symposium on Computing Systems Engineering, 2016, João Pessoa.

  21. SOUZA, J. ; CACHOLA, J. V. G. ; CARRO, Luigi ; Rutzig, M. B. ; BECK, A. C. S.
    Evaluating Schedulers in a Reconfigurable Multicore Heterogeneous System.. In: International Symposium on Applied Reconfigurable Computing, 2016, Mangaratiba. Proceedings of the 2016 International Symposium on Applied Reconfigurable Computing (ARC), 2016

  22. MOURA, R. F. ; SOUZA, JECKSON DELLAGOSTIN ; CARRO, Luigi ; BECK, A. C. S. ; RUTZIG, MATEUS
    The Impact of Heterogeneity on a Reconfigurable Multicore System. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2016, Pittsburgh. Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2016

  23. GIRALDO, J. S. P. ; CARRO, Luigi ; WONG, S. ; BECK, A. C. S.
    Leveraging Compiler Support on VLIW processors for Efficient Power Gating. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2016, Pittsburgh. Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2016.

  24. SARTOR, A. ; WONG, S. ; BECK, A. C. S.
    Adaptive ILP Control to increase Fault Tolerance for VLIW Processors. In: IEEE International Conference on Application-specific Systems, Architectures and Processors, 2016, Londres. Proceedings of The 27th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors, 2016

  25. LORENZON, ARTHUR F. ; CERA, MARCIA C. ; BECK, ANTONIO CARLOS S.
    On the influence of static power consumption in multicore embedded systems. In: 2015 IEEE International Symposium on Circuits and Systems (ISCAS), 2015, Lisbon. 2015 IEEE International Symposium on Circuits and Systems (ISCAS). p. 1374-4.

  26. LORENZON, ARTHUR F. ; SARTOR, ANDERSON L. ; CERA, MARCIA C. ; BECK, ANTONIO CARLOS S.
    The Influence of Parallel Programming Interfaces on Multicore Embedded Systems. In: 2015 IEEE 39th Annual Computer Software and Applications Conference (COMPSAC), 2015, Taichung. 2015 IEEE 39th Annual Computer Software and Applications Conference. p. 617.

  27. SARTOR, ANDERSON L. ; LORENZON, ARTHUR F. ; BECK, ANTONIO C.S.
    The Impact of Virtual Machines on Embedded Systems. In: 2015 IEEE 39th Annual Computer Software and Applications Conference (COMPSAC), 2015, Taichung. 2015 IEEE 39th Annual Computer Software and Applications Conference. p. 626.

  28. LO, THIAGO BERTICELLI ; KASTENSMIDT, FERNANDA LIMA ; Beck, Antonio Carlos Schneider
    Using Configurable Bit-Width Voters to Mask Multiple Errors in Integrated Circuits. In: 2015 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2015, Montpellier. 2015 IEEE Computer Society Annual Symposium on VLSI. p. 533.

  29. SARTOR, ANDERSON L. ; LORENZON, ARTHUR F. ; CARRO, Luigi ; KASTENSMIDT, FERNANDA ; WONG, STEPHAN ; Beck, Antonio C. S.
    A Novel Phase-Based Low Overhead Fault Tolerance Approach for VLIW Processors. In: 2015 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2015, Montpellier. 2015 IEEE Computer Society Annual Symposium on VLSI. p. 485.

  30. LORENZON, ARTHUR F. ; SARTOR, ANDERSON L. ; CERA, MARCIA C. ; Beck, Antonio Carlos Schneider
    Optimized Use of Parallel Programming Interfaces in Multithreaded Embedded Architectures. In: 2015 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2015, Montpellier. 2015 IEEE Computer Society Annual Symposium on VLSI. p. 410.

  31. GIRALDO, J. S. P. ; SARTOR, A. ; CARRO, Luigi ; WONG, S. ; BECK, A. C. S.
    Evaluation of Energy Savings on a VLIW Processor through Dynamic Issue-width Adaptation. In: IEEE International Symposium on Rapid System Prototyping, 2015, Amsterdam. Proceedings of the IEEE International Symposium on Rapid System Prototyping, 2015.

  32. BRANDON, A. ; HOOZEMANS, J. ; STRATEN, J. V. ; LORENZON, A. F. ; SARTOR, A. ; BECK, A. C. S. ; WONG, S.
    A Sparse VLIW Instruction Encoding Scheme Compatible with Generic Binaries. In: International Conference on Reconfigurable Computing and FPGAs, 2015, Mayan Riviera. Proceedings of the International Conference on Reconfigurable Computing and FPGAs, 2015.

  33. BRANDALERO, M. ; BECK, A. C. S.
    Potential of using a reconfigurable system on a superscalar core for ILP improvements. In: Brazilian Symposium on Computing Systems Engineering, 2014, Manaus. Brazilian Symposium on Computing Systems Engineering, 2014.

  34. LO, T. B. ; CARRO, Luigi ; BECK, A. C. S.
    Hardware Virtualization On Coarse-Grained Reconfigurable Architectures. In: Brazilian Symposium on Computing Systems Engineering, 2014. Brazilian Symposium on Computing Systems Engineering, 2014.

  35. SOUZA, J. ; CARRO, Luigi ; RUTZIG, MATEUS ; BECK, A. C. S.
    Towards a Dynamic and Reconfigurable Multicore Heterogeneous System. In: Brazilian Symposium on Computing Systems Engineering, 2014, Manaus. Brazilian Symposium on Computing Systems Engineering, 2014.

  36. REIS FILHO, H. B. ; CORREA, U. B. ; DUARTE, L. M. ; BECK, A. C. S.
    Analysis of the impact of refactorings on the performance of embedded systems. In: Brazilian Symposium on Computing Systems Engineering, 2014, Manaus. Brazilian Symposium on Computing Systems Engineering, 2014.

  37. LO, T. B. ; KASTENSMIDT, F. G. L. ; BECK, A. C. S.
    Towards an Adaptable bit-width NMR Voter for Multiple Error Masking. In: IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2014, Amsterdam. Proceedings of the International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014. p. 257-262.

  38. PINTO, V. G. ; LORENZON, A. F. ; BECK, A. C. S. ; Maillard, Nicolas ; Navaux, Philippe O. A.
    Energy Efficiency Evaluation of Multi-level Parallelism on Low Power Processors. In: WPerformance/CSBC - Workshop em Desempenho de Sistemas Computacionais e de Comunicação, 2014, Brasília. Anais do Congresso da Sociedade Brasileira de Computação, 2014. v. 1. p. 1.

  39. SARTOR, A. ; CAPELLA, F. M. ; BRANDALERO, M. ; CARRO, Luigi ; BECK, A. C. S.
    A Transparent Multiple-ISA MPSoC Architecture. In: Workshop on SoCs, Heterogeneous Architectures and Workloads (SHAW/HPCA), 2014, Orlando. Proceedings of the Workshop on SoCs, Heterogeneous Architectures and Workloads, 2014.

  40. Rutzig, M. B. ; BECK, A. C. S. ; CARRO, Luigi
    A Transparent and Energy Aware Reconfigurable Multiprocessor Platform for Simultaneous ILP and TLP Exploitation. In: Design, Automation and Test in Europe Conference and Exhibition, 2013, Grenoble. Proceedings of Design, Automation and Test in Europe. Washington: IEEE Computer Society, 2013. p. 1559-1564.

  41. Rutzig, M. B. ; BECK, A. C. S. ; CARRO, Luigi
    A Run-Time Adaptive Multiprocessor System. In: The IEEE International Symposium on Circuits and Systems (ISCAS 2013), 2013, Pequim. Proceedings of the IEEE International Symposium on Circuits and Systems, 2013. p. 1.

  42. CARRO, Luigi ; BECK, A. C. S. ; LISBOA, C. A. L.
    Sistemas Embarcados Adaptáveis. In: Jornadas de Atualização em Informática, 2013, Maceió. Atualizações em informática. Porto Alegre: SBC, 2013. p. 341-420.

  43. SARTOR, A. ; CORREA, U. B. ; BECK, A. C. S.
    AndroProf: A Profiling Tool for the Android Platform. In: 2013 III Brazilian Symposium on Computing Systems Engineering (SBESC), 2013, Niteroi. 2013 III Brazilian Symposium on Computing Systems Engineering. p. 23.

  44. LORENZON, A. F. ; BECK, A. C. S. ; CERA, M. C.
    The Impact of Different Multi-Threading Interfaces on Embedded Systems. In: 2013 III Brazilian Symposium on Computing Systems Engineering (SBESC), 2013, Niteroi. 2013 III Brazilian Symposium on Computing Systems Engineering. p. 59.

  45. CAPELLA, F. M. ; BRANDALERO, M. ; FAJARDO JUNIOR, J. ; BECK, A. C. S. ; CARRO, Luigi
    A Multiple-ISA Reconfigurable Architecture. In: 2013 III Brazilian Symposium on Computing Systems Engineering (SBESC), 2013, Niteroi. 2013 III Brazilian Symposium on Computing Systems Engineering. p. 71.

  46. CORREA, U. B. ; MILLANI, L. F. ; BECK, A. C. S. ; CARRO, Luigi
    Quality Impact on Software Performance. In: 2013 III Brazilian Symposium on Computing Systems Engineering (SBESC), 2013, Niteroi. 2013 III Brazilian Symposium on Computing Systems Engineering. p. 83.

  47. FAJARDO JUNIOR, J., Rutzig, M. B., BECK, A. C. S.
    A Dynamically Reconfigurable Architecture with a Two-Level Binary Translation Mechanism In: HiPEAC Workshop on Reconfigurable Computing, 2011, Heraklion. Proceedings of the 5th HiPEAC Workshop on Reconfigurable Computing. , 2011. p.11 - 20

  48. FAJARDO JUNIOR, J., Rutzig, M. B., CARRO, Luigi, BECK, A. C. S.
    A Transparent and Adaptable Multiple-ISA Embedded System In: International Conference on Engineering of Reconfigurable Systems and Algorithms, 2011, Las Vegas. Proceedings of the 2011 International Conference on Engineering of Reconfigurable Systems & Algorithms. , 2011. p.197 - 203

  49. Rutzig, M. B., BECK, A. C. S., CARRO, Luigi
    CReAMS: An Embedded Multiprocessor Platform In: International Workshop on Applied Reconfigurable Computing, 2011, Belfast. Lecture Notes in Computer Science: Reconfigurable Computing: Architectures, Tools and Applications. , 2011. v.6578. p.118 - 124

  50. FAJARDO JUNIOR, J., Rutzig, M. B., BECK, A. C. S., CARRO, Luigi
    Towards an Adaptable Multiple-ISA Reconfigurable Processor In: International Workshop on Applied Reconfigurable Computing, 2011, Belfast. Lecture Notes in Computer Science: Reconfigurable Computing: Architectures, Tools and Applications. , 2011. v.6578. p.157 - 168

  51. LO, T. B., BECK, A. C. S., Rutzig, M. B., CARRO, Luigi
    A Low-Energy Approach for Context Memory in Reconfigurable Systems In: Reconfigurable Architectures Workshop, 2010, Atlanta. Proceedings of the IEEE International Parallel And Distributed Processing Symposium. Los Alamitos: IEEE Computer Society, 2010.

  52. LO, T. B., BECK, A. C. S., Rutzig, M. B., CARRO, Luigi
    Decreasing the Impact of the Context Memory on Reconfigurable Architectures In: HiPEAC Workshop on Reconfigurable Computing, 2010, Pisa. Proceedings of HiPEAC Workshop on Reconfigurable Computing. , 2010. p.29 - 38

  53. Rutzig, M. B., MADRUGA, F. L., ALVES, M. A. Z., COSTA, H., BECK, A. C. S., MAILLARD, N. B., NAVAUX, P. O. A., CARRO, Luigi
    TLP and ILP exploitation through Reconfigurable Multiprocessing System In: IEEE International Parallel And Distributed Processing Symposium (IPDPS) - Reconfigurable Architectures Workshop (RAW), 2010, Atlanta.
    Proceedings of the IEEE International Parallel And Distributed Processing Symposium. Los Alamitos: IEEE Computer Society, 2010.

  54. FERREIRA, R. S., LAURE, M., BECK, A. C. S., LO, T. B., Rutzig, M. B., CARRO, Luigi
    A Low Cost and Adaptable Routing Network for Reconfigurable Systems In: IEEE International Parallel And Distributed Processing Symposium (IPDPS) - Reconfigurable Architectures Workshop (RAW), 2009, Roma.
    Proceedings of the IEEE International Parallel And Distributed Processing Symposium. Los Alamitos: IEEE Computer Society, 2009. p.1 - 8

  55. Rutzig, M. B., BECK, A. C. S., CARRO, Luigi
    Dynamically Adapted Low Power ASIPs In: International Workshop on Reconfigurable Computing, 2009, Karlsruhe.
    Proceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications. Berlin/Heidelberg: Springer-Verlag, 2009. v.5453. p.110 - 122

  56. Rutzig, M. B., BECK, A. C. S., CARRO, Luigi
    Balancing Reconfigurable Data Path Resources According to Applications Requirements In: IEEE International Parallel And Distributed Processing Symposium (IPDPS), Reconfigurable Architectures Workshop (RAW), 2008, Miami.
    Proceedings of the IEEE International Parallel And Distributed Processing Symposium. 2005: IEEE Computer Society, 2008. p.1 - 8

  57. FERREIRA, R. S., LAURE, M., Rutzig, M. B., BECK, A. C. S., CARRO, Luigi
    Reducing Interconnection Cost In Coarse-Grained Dynamic Computing Through Multistage Network In: International Conference on Field Programmable Logic and Applications (FPL), 2008, Heidelberg.
    Proceedings of International Conference on Field Programmable Logic and Applications. IEEE Computer Society, 2008. p.47 - 52

  58. BECK, A. C. S., Rutzig, M. B., GAYDADJIEV, G., CARRO, Luigi
    Run-time Adaptable Architectures for Heterogeneous Behavior Embedded Systems In: International Workshop On Applied Reconfigurable Computing (ARC), 2008, Londres.
    Revised Selected Papers - International Workshop on Applied Reconfigurable Computing. Berlin/Heidelberg: Springer, 2008. p.111 - 124

  59. BECK, A. C. S., Rutzig, M. B., GAYDADJIEV, G., CARRO, Luigi
    Transparent Reconfigurable Acceleration for Heterogeneous Embedded Applications In: Design, Automation and Test in Europe (DATE), 2008, Munique.
    Proceedings of Design, Automation and Test in Europe. Washington: IEEE Computer Society, 2008. p.1208 - 1213

  60. MATTOS, Julio C B, BECK, A. C. S., CARRO, Luigi
    Object-Oriented Reconfiguration In: 18th IEEE/IFIP International Workshop on Rapid System Prototyping, RSP, 2007, Porto Alegre.
    Proceedings of International Workshop on Rapid System Prototyping, RSP. Washington: IEEE Computer Society, 2007. p.69 - 74

  61. BECK, A. C. S., CARRO, Luigi
    Transparent Acceleration of Data Dependent Instructions for General Purpose Processors In: IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip (VLSI-SOC), 2007, Atlanta.
    Proceedings of IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip. New York: IEEE, 2007. p.66 - 71

  62. Rutzig, M. B., BECK, A. C. S., CARRO, Luigi
    Transparent Dataflow Execution for Embedded Applications In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2007, Porto Alegre.
    Proceedings of IEEE Computer Society Annual Symposium on VLSI. Los Alamitos: IEEE Computer Society, 2007. p.47 - 54

  63. BECK, A. C. S., Rutzig, M. B., CARRO, Luigi
    Advantages of Java Processors in Cache Performance and Power for Embedded Applications In: International Workshop on Systems, Architectures, Modeling and Simulation (SAMOS'06), 2006, Samos.
    Proceedings of International Workshop on Systems, Architectures, Modeling and Simulation. Berlin/Heidelberg: Springer, 2006. v.4017. p.321 - 330

  64. BECK, A. C. S., GOMES, V. F., CARRO, Luigi
    Automatic Dataflow Execution with Reconfiguration and Dynamic Instruction Merging In: IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip (VLSI-SOC), 2006, Nice.
    Proceedings of IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip. IEEE, 2006. p.30 - 35

  65. BECK, A. C. S., Rutzig, M. B., CARRO, Luigi
    Cache performance impacts for Stack Machines in Embedded Systems In: Symposium On Integrated Circuits And Systems Design (SBCCI), 2006, Ouro Preto.
    Proceedings of the 19th annual symposium on Integrated circuits and systems design. New York: ACM Press, 2006. p.155 - 160

  66. BECK, A. C. S., GOMES, V. F., CARRO, Luigi
    Dynamic Instruction Merging and a Reconfigurable Array: Dataflow Execution with Software Compatibility In: International Workshop on Applied Reconfigurable Computing (ARC), 2006, Delft.
    Proceedings of International Workshop on Applied Reconfigurable Computing. Berlin/Heidelberg: Springer, 2006. v.3985. p.449 - 454

  67. FERREIRA, R. S., BECK, A. C. S., CARRO, Luigi, TOLEDO, A., SILVA, A.
    A Java Framework to Teach Computer Architecture In: Edutech, 2005, Perth.
    New Trends and Technologies in Computer-Aided Learning for Computer-Aided Design. Boston: Springer, 2005. v.1571. p.25 - 35

  68. GOMES, V. F., BECK, A. C. S., CARRO, Luigi
    Advantages of Java Machines in the Dynamic ILP Exploitation for Low-Power Embedded Systems In: IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip (VLSI-SOC), 2005, Perth.
    Proceedings of IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip. , 2005. p.1 - 6

  69. BECK, A. C. S., CARRO, Luigi
    Application of Binary Translation to Java Reconfigurable Architectures In: IEEE International Parallel And Distributed Processing Symposium (IPDPS) - Reconfigurable Architectures Workshop (RAW), 2005, Denver.
    Proceedings of the 19th IEEE International Parallel And Distributed Processing Symposium (IPDPS). Los Alamitos: IEEE Computer Society, 2005. p.156.2 -

  70. BECK, A. C. S., CARRO, Luigi
    Applying JAVA on Single-Chip Microprocessors In: Workshop Iberchip, 2005, Salvador.
    Proceedings of the XI Workshop Iberchip. , 2005. p.19 - 22

  71. GOMES, V. F., BECK, A. C. S., MATTOS, Julio C B, BARCELLOS, R., CARRO, Luigi
    Automatic Generation of an MP3 Player In: Workshop Iberchip, 2005, Salvador.
    Proceedings of the XI Workshop Iberchip. , 2005. p.31 - 34

  72. BECK, A. C. S., CARRO, Luigi
    Dynamic Reconfiguration with Binary Translation: Breaking the ILP barrier with Software Compatibility In: Design Automation Conference (DAC), 2005, Anaheim.
    Proceedings of the 42nd annual Design Automation Conference. New York: ACM, 2005. p.732 - 737

  73. BECK, A. C. S., GOMES, V. F., CARRO, Luigi
    Exploiting Java Through Binary Translation for Low Power Embedded Reconfigurable Systems In: Symposium On Integrated Circuits And System Design (SBCCI), 2005, Florianópolis.
    Proceedings of the 18th annual symposium on Integrated circuits and system design. New York: ACM, 2005. p.92 - 97

  74. BECK, A. C. S., HENTSCHKE, Renato, MATTOS, Julio C B, REIS, Ricardo, CARRO, Luigi
    Fast and Efficient Test Generation for Embedded Stack Processors In: IEEE Latin-American Test Workshop, 2005, Salvador.
    Proceedings of the 6th Latin-american test workshop. , 2005. p.37 - 42

  75. Bruno Rodrigues Silva, Eduardo Melione, Felipe França, BECK, A. C. S., CARRO, Luigi
    JDTM - Memorização e Reuso Dinâmico de Traces em uma Arquitetura de Processador Java In: Workshop de Sistemas Computacionais de Alto Desempenho (WSCAD), 2005, Rio de Janeiro.
    Anais do Workshop de Sistemas Computacionais de Alto Desempenho. , 2005. p.57 - 64

  76. BECK, A. C. S., CARRO, Luigi
    A VLIW Low Power Java Processor for Embedded Applications In: Symposium On Integrated Circuits And System Design (SBCCI), 2004, Porto de Galinhas.
    Proceedings of the 17th symposium on Integrated circuits and system design. New York: ACM, 2004. p.157 - 162

  77. MATTOS, Julio C B, BECK, A. C. S., WAGNER, Flavio R, CARRO, Luigi
    Design Space Exploration with Automatic Selection of SW and HW for Embedded Applications In: International Workshop on Systems, Architectures, Modeling and Simulation (SAMOS), 2004, Samos.
    Lecture Notes in Computer Science: Computer Systems: Architectures, Modeling, and Simulation. Berlin/Heidelberg: Springer, 2004. v.3133. p.303 - 312

  78. HENTSCHKE, Renato, BECK, A. C. S., MATTOS, Julio C B, CARRO, Luigi, LUBASZEWSKI, Marcelo, REIS, Ricardo
    Using Genetic Algorithms to Accelerate Automatic Software Generation for Microprocessor Functional Testing In: IEEE Latin-American Test Workshop (LATW), 2004, Cartagena.
    Proceedings of the 5th Latin-American Test Workshop. , 2004. p.37 - 42

  79. BECK, A. C. S., ROSA JUNIOR, Leomar S, WAGNER, Flavio R, CARRO, Luigi
    A General Purpose Compiled-Code Power Simulator In: Simpósio Regional de Microeletrônica (SIM), 2003, São Gabriel.
    Anais do XVIII SIM - Simpósio Regional de Microeletrônica. , 2003.

  80. BECK, A. C. S., CARRO, Luigi
    CACO-PS: A General Purpose Cycle-Accurate Configurable Power Simulator In: Symposium On Integrated Circuits And System Design (SBCCI), 2003, São Paulo.
    Proceedings of the 16th symposium on Integrated circuits and system design. New York: ACM, 2003. p.349 - 354

  81. MATTOS, Julio C B, BECK, A. C. S., BRISOLARA, Lisane, CARRO, Luigi, WAGNER, Flavio R
    Characterization of a SW-library for embedded applications In: Simpósio Regional de Microeletrônica (SIM), 2003, São Gabriel.
    Anais do XVIII SIM - Simpósio Regional de Microeletrônica. , 2003.

  82. ROSA JUNIOR, Leomar S, BECK, A. C. S., WAGNER, Flavio R, CARRO, Luigi, CARISSIMI, A, REIS, André
    Dedicated Instructions to Support Multiprocessing on an Embedded Java Architecture In: Student Forum on Microeletronics (SForum), 2003, São Paulo.
    Proceedings of the 4th Student Forum on Microeletronics. , 2003.

  83. BECK, A. C. S., CARRO, Luigi
    Low Power Java Processor for Embedded Applications In: IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip (VLSI-SOC), 2003, Darmstadt.
    Proceedings of the 12th IFIP WG 10.5 International Conference On Very Large Scale Integration Of System-On-Chip. , 2003. p.239 - 244

  84. CORREA, Edgard, CARDOZO, Rodrigo, COTA, Erika, BECK, A. C. S., WAGNER, Flavio R, CARRO, Luigi, SUZIN, Altamiro, LUBASZEWSKI, Marcelo
    Testing the Wrappers of a Network on Chip: a Case Study In: IEEE Latin-American Test Workshop (LATW), 2003, Natal.
    Proceedings of Latin-American Test Workshop. , 2003. p.159 - 163


Book Chapters


  1. BECK, A. C. S., LISBOA, C. A. L., CARRO, Luigi, NAZAR, G. L., PEREIRA, M. M., FERREIRA, R. R.
    Adaptability: the Key for Future Embedded Systems In: Adaptable Embedded Systems.1 ed. : Springer-Verlag, 2012, v.1, p. 1-11.

  2. BECK, A. C. S.
    Dynamic Optimization Techniques In: Adaptable Embedded Systems.1 ed. : Springer-Verlag, 2012, v.1, p. 165-217.

  3. Rutzig, M. B., BECK, A. C. S., CARRO, Luigi
    Heterogeneous Behavior of Applications and Systems In: Adaptable Embedded Systems.1 ed. : Springer-Verlag, 2012, v.1, p. 13-40.

  4. BECK, A. C. S., PEREIRA, M. M.
    Reconfigurable Systems In: Adaptable Embedded Systems.1 ed. : Springer-Verlag, 2012, v.1, p. 41-121.

  5. BECK, A. C. S., CARRO, Luigi
    Reconfigurable Acceleration with Binary Compatibility for General Purpose Processors In: VLSI-Soc: Advanced Topics on Systems on a Chip.1 ed.New York : Springer-Verlag, 2009, p. 271-286.

  6. BECK, A. C. S., CARRO, Luigi
    Low Power Java Processor for Embedded Applications In: VLSI-SOC: From Systems to Chips.1 ed.Boston : Springer, 2006, v.1, p. 213-228.